Visioning Activity


Extreme Scale Design Automation

Motivation and Overview

closeup of a digital circuitWe have reached the point where semiconductor technology can no longer scale in the old ways (Dennard scaling) to pack new transistors in silicon chips. Research in design methods and manufacturing techniques of future electronic systems indicates that, in under ten years, computational systems will be fundamentally different from what they are today. To this end, the semiconductor industry has been involved in protracted roadmapping efforts (e.g. ITRS), but these efforts focused on the feasibility of production technologies (silicon and alternatives) and seek to minimize risk rather than maximize rewards. Industry roadmaps are unclear on how to move forward, and on how good the final result may be. To address this research vacuum, a significant investment has been placed in the development of new “emerging” fabrication technologies to augment or replace silicon devices. A large number of promising candidate technologies are now available, but require extensive ecosystems of computer-aided design tools, similar to those painstakingly developed for conventional technologies over the last 50 years. Even with conventional semiconductor technologies, existing electronic design automation (EDA) tools cannot effectively harness the scale possible in today’s chips.

To maintain continued leadership in technological innovation, despite adverse economic conditions, and to renovate national infrastructures for developing future electronic technologies, an investment is required in novel electronic design automation to facilitate the effective development of electronic systems of extreme scale integration complexity. In this vein, a series of workshops were planned to consider carefully the broad range of possibilities currently available. Through an open discussion, workshop participants developed a cohesive research strategy that can efficiently address the changing landscape for computing.

Keynotes

EDA at the End of Moore’s Law
Bob Colwell

Reinvigorating EDA in the Social Media Era
Patrick Groeneveld

CAD Challenges in a Mobility-Driven Era
Noel Menezes

Teaching the First EDA MOOC: Reflections on the Experience, and Opportunities for the Discipline
Rob A. Rutenbar

The Once and Future CAD
William H. Joyner, Jr

Extreme Scale EDA: From Molecules to Vehicles
Jacob White

Workshops

CRA/CCC Workshop on Extreme Scale Design Automation

Extreme Scale Design Automation Workshop